SystemVerilog Coverage Options

Coverage options

Coverage options control the behavior of the covergroup, coverpoint, and cross.

at_least

A minimum number of hits for each bin. A bin with a hit count that is less than the number is not considered covered. the default value is ‘1’.

auto_bin_max

A maximum number of automatically created bins when no bins are explicitly defined for a coverpoint. the default value is ‘64’.

cross_auto_bin_max

A maximum number of automatically created cross product bins for a cross. there is no default value, it is unbounded.

Coverage options can be used as below,

covergroup cg @(posedge clk);
  c1: coverpoint addr  { option.auto_bin_max = 128;}
  c2: coverpoint wr_rd { option.atleast = 2;}
  c1Xc2: cross c1, c2  { option.cross_auto_bin_max = 128;}
endgroup : cg

❮ Previous Next ❯